找回密码
 FreeOZ用户注册
楼主: lf-wxh

电工电子爱好者园地

[复制链接]
发表于 19-2-2018 10:34:47 | 显示全部楼层
DDD888 发表于 18-2-2018 08:51
我想实现机械开关不焊接在电路板pcb上,这样如果键盘机械开关坏了,我只要将坏的拿掉,插上好的就行了,我想 ...

这个是原理图
https://github.com/ErgoDox-EZ/do ... 0EZ%20Schematic.pdf
回复  

使用道具 举报

发表于 13-3-2018 05:52:59 | 显示全部楼层
根据我另一半的结论,我没有自己做,我网上订购了个现成的,就这个https://ergodox-ez.com/,先买一部分,花了nzd370
回复  

使用道具 举报

发表于 19-3-2018 09:25:25 | 显示全部楼层
我给我的很热的无线路由器加的电风扇
router.jpg

评分

参与人数 1威望 +50 收起 理由
QWERTYASDFG + 50 谢谢分享!

查看全部评分

回复  

使用道具 举报

发表于 20-3-2018 06:00:29 | 显示全部楼层
内部的连接
4.JPG
3.JPG
2.JPG
1.JPG
回复  

使用道具 举报

发表于 24-3-2018 20:18:15 | 显示全部楼层
这个可能是澳洲本地最好的一个电子电工爱好帖。
回复  

使用道具 举报

发表于 4-4-2018 19:10:59 | 显示全部楼层
我买了rigol 1054z

https://s.taobao.com/search?q=ri ... d=tbindexz_20170306

打算拿到手后就升级到带宽100兆
回复  

使用道具 举报

发表于 5-4-2018 10:44:34 | 显示全部楼层
DDD888 发表于 4-4-2018 19:10
我买了rigol 1054z

https://s.taobao.com/search?q=rigol+1054z&imgfile=&commend=all&ssid=s5-e&search ...

没明白,100MHZ的示波器可以测试什么?和带宽100mbps有什么关系?
回复  

使用道具 举报

发表于 5-4-2018 12:19:59 | 显示全部楼层
QWERTYASDFG 发表于 5-4-2018 10:44
没明白,100MHZ的示波器可以测试什么?和带宽100mbps有什么关系?

我只知道越大越好啦
回复  

使用道具 举报

发表于 5-4-2018 13:23:20 | 显示全部楼层
DDD888 发表于 5-4-2018 12:19
我只知道越大越好啦

哦,我以为之间有什么特别的关系。示波器可测试的频率范围也不是越大越好,我理解测试高频的方法只是设备里通过降频,把高频信号转换成低频来测试的。如果直接测试高频信号,线路板之间的屏蔽隔离是比较麻烦的技术问题。打个比方说,如果买个车上下班用的话,为了追求速度去买个火箭,不一定更好用。
回复  

使用道具 举报

发表于 16-4-2018 16:16:38 | 显示全部楼层
我的显微镜 1.JPG 2.JPG 3.JPG
回复  

使用道具 举报

发表于 17-4-2018 14:08:43 | 显示全部楼层

光学还是电子的?如果光学的倍数太大,视角就会太小,而且变形也比较厉害。用来观察还行,用来操作因为焦距太短,无法在镜片和零件之间放入任何设备。感觉单片镜的放大倍率在5-10倍左右的还不错。再高就不太好用了。多片镜的因为需要减少畸变情况,会选用较小的镜片,而损失较多的光通量,也不方便观察和操作。电子的虽然没有这个问题,但要想获得比较高的放大倍数,需要高清晰度的感光芯片。否则放大以后图像清晰度不够。高清芯片非常不便宜。
回复  

使用道具 举报

发表于 17-4-2018 19:04:32 | 显示全部楼层
本帖最后由 DDD888 于 17-4-2018 19:13 编辑
QWERTYASDFG 发表于 17-4-2018 14:08
光学还是电子的?如果光学的倍数太大,视角就会太小,而且变形也比较厉害。用来观察还行,用来操作因为焦 ...


raspberrypi 3 + https://www.ebay.com/itm/Raspber ... 2060353.m2749.l2649

镜头和观察物的距离有六厘米左右,应该算还可以
回复  

使用道具 举报

发表于 17-4-2018 19:05:22 | 显示全部楼层
我的示波器 1.JPG
回复  

使用道具 举报

发表于 18-4-2018 11:35:57 | 显示全部楼层
今天,我用了下新买的示波器,非常好用
回复  

使用道具 举报

发表于 18-4-2018 22:46:09 | 显示全部楼层
中国产的东西,质量堪忧啊。连续两次中招,都是虚焊,我擦
回复  

使用道具 举报

发表于 19-4-2018 07:36:07 | 显示全部楼层
本帖最后由 DDD888 于 19-4-2018 07:39 编辑
lummar 发表于 18-4-2018 22:46
中国产的东西,质量堪忧啊。连续两次中招,都是虚焊,我擦


但不是中国产的示波器价格太贵啦,另外你也不知道标的是美国公司的牌子,产地可能就是中国

https://www.tek.com/oscilloscope ... torage-oscilloscope
回复  

使用道具 举报

发表于 19-4-2018 20:06:12 | 显示全部楼层
DDD888 发表于 19-4-2018 07:36
但不是中国产的示波器价格太贵啦,另外你也不知道标的是美国公司的牌子,产地可能就是中国

https://w ...

是的。现在貌似什么都脱离不了中国。中国的东西价格还算可以,勉强够用,不过如果要是人工焊接,质量就参差不齐。我说的两个虚焊都是温度过高,焊锡发白。一看都是不合格的焊点。不过奇怪的是居然还支撑了好几年。如果没有虚焊,寿命会是几何?
回复  

使用道具 举报

发表于 19-4-2018 20:20:04 | 显示全部楼层
DDD888 发表于 26-10-2017 05:43
我买了块可以让我调节输出电压的元件https://www.ebay.com/itm/LTC3780-Automatic-lifting-pressure-Consta ...

刚刚看了下spec,板子本身貌似是带15A保险丝的。你这个看样子是板子有问题
回复  

使用道具 举报

发表于 20-4-2018 06:43:34 | 显示全部楼层
lummar 发表于 19-4-2018 20:20
刚刚看了下spec,板子本身貌似是带15A保险丝的。你这个看样子是板子有问题


高手啊

我买来一接,短路冒烟吓死我,我以为我接线错误,所以没有向卖家要退货,现在是坏的在那空放着
回复  

使用道具 举报

发表于 20-4-2018 06:50:39 | 显示全部楼层
lummar 发表于 19-4-2018 20:06
是的。现在貌似什么都脱离不了中国。中国的东西价格还算可以,勉强够用,不过如果要是人工焊接,质量就参 ...

我买的第一个日本的kenwood的几十年用过的旧的示波器,运到我家的时候,输入信号没有波形,卖家说一切都好,我拆开机器一看,原来是连输入信号的电阻拖开了,所以我焊好后就可以正常使用了,现在和新的示波器一起用,但显然新的数字示波器太好用了
回复  

使用道具 举报

发表于 20-4-2018 20:12:25 | 显示全部楼层
DDD888 发表于 20-4-2018 06:50
我买的第一个日本的kenwood的几十年用过的旧的示波器,运到我家的时候,输入信号没有波形,卖家说一切都 ...

运输过程中暴力装卸太普遍了
电子设备基本都一样,买新不买旧。新的往往更好更便宜
回复  

使用道具 举报

发表于 21-4-2018 11:44:57 | 显示全部楼层
1.JPG 2.JPG 4.JPG

我用fpga连r2r电阻数字转模拟输出信号在我的示波器上
3.JPG
回复  

使用道具 举报

发表于 21-4-2018 11:54:14 | 显示全部楼层
本帖最后由 DDD888 于 21-4-2018 12:18 编辑
lummar 发表于 20-4-2018 20:12
运输过程中暴力装卸太普遍了
电子设备基本都一样,买新不买旧。新的往往更好更便宜


是的,但小米路由器除外


我原先买了小米的2根天线的觉得挺好,就又买了小米的四根天线的,结果四根天线的用了一年坏了,拆开一看,两根天线的有贴很大的铁片在背后作散热,四根的竟然没有大的散热片,垃圾
-----------------------------------------------------
当初买旧的示波器是感觉旧的便宜,新的算上运费税收太贵了,这次碰巧可以从中国带过来,就买个新的啦
回复  

使用道具 举报

发表于 21-4-2018 12:12:42 | 显示全部楼层
我写的verilog代码

module top (                                                                     
        input  clk,                                                              
        output LED1,                                                            
        output LED2,                                                            
                                                                                 
        output PIO1_02,                                                         
        output PIO1_03,                                                         
        output PIO1_04,                                                         
        output PIO1_05,                                                         
        output PIO1_06,                                                         
        output PIO1_07                                                           
);                                                                              
        reg data1 = 1'b1;                                                        
        reg [32:0] counter;                                                      
        reg [5:0] index;                                                         
        reg [5:0] result;                                                        
        reg state;                                                               
                                                                                 
        assign LED1 = state;                                                     
        assign LED2 = data1;                                                     
                                                                                 
        assign PIO1_02 = result[0];                                             
        assign PIO1_03 = result[1];                                             
        assign PIO1_04 = result[2];                                             
        assign PIO1_05 = result[3];                                             
        assign PIO1_06 = result[4];                                             
        assign PIO1_07 = result[5];                                             
                                                                                 
        assign index[5:0] = counter[16:11];                                      
                                                                                 
        assign state = counter[20];                                             
                                                                                 
        always @(posedge clk) begin                                             
                counter <= counter + 1;                                          
                case(index)                                                      
                 0: result <= 32;                                                
                 1: result <= 35;                                                
                 2: result <= 38;                                                
                 3: result <= 41;                                                
                 4: result <= 44;                                                
                 5: result <= 47;                                                
                 6: result <= 50;                                                
                 7: result <= 52;                                                
                 8: result <= 55;                                                
                 9: result <= 57;                                                
                 10: result <= 59;                                               
                 11: result <= 60;                                               
                 12: result <= 62;                                               
                 13: result <= 63;                                               
                 14: result <= 63;                                               
                 15: result <= 63;                                               
                 16: result <= 63;                                               
                 17: result <= 63;                                               
                 18: result <= 63;                                               
                 19: result <= 63;                                               
                 20: result <= 62;                                               
                 21: result <= 60;                                               
                 22: result <= 59;                                               
                 23: result <= 57;                                               
                 24: result <= 55;                                               
                 25: result <= 52;                                               
                 26: result <= 50;                                               
                 27: result <= 47;                                               
                 28: result <= 44;                                               
                 29: result <= 41;                                               
                 30: result <= 38;                                               
                 31: result <= 35;                                               
                 32: result <= 32;                                               
                 33: result <= 29;                                               
                 34: result <= 26;                                               
                 35: result <= 23;                                               
                 36: result <= 20;
                 37: result <= 17;                                               
                 38: result <= 14;                                               
                 39: result <= 12;                                               
                 40: result <= 9;                                                
                 41: result <= 7;                                                
                 42: result <= 5;                                                
                 43: result <= 4;                                                
                 44: result <= 2;                                                
                 45: result <= 1;                                                
                 46: result <= 1;                                                
                 47: result <= 0;                                                
                 48: result <= 0;                                                
                 49: result <= 0;                                                
                 50: result <= 1;                                                
                 51: result <= 1;                                                
                 52: result <= 2;                                                
                 53: result <= 4;                                                
                 54: result <= 5;                                                
                 55: result <= 7;                                                
                 56: result <= 9;                                                
                 57: result <= 12;                                               
                 58: result <= 14;                                               
                 59: result <= 17;                                               
                 60: result <= 20;                                               
                 61: result <= 23;                                               
                 62: result <= 26;                                               
                 63: result <= 29;                                               
                endcase                                                         
        end                                                                     
endmodule
回复  

使用道具 举报

发表于 21-4-2018 12:14:22 | 显示全部楼层
icestick.pcf
set_io --warn-no-port RX 9                                                      
set_io --warn-no-port TX 8                                                      
set_io LED1 99                                                                  
set_io LED2 98                                                                  
set_io LED3 97                                                                  
set_io LED4 96                                                                  
set_io LED5 95                                                                  
set_io clk 21                                                                    
                                                                                 
# # Pmod connector                                                               
set_io PIO1_02 78 # Pin 1                                                        
set_io PIO1_03 79 # Pin 2                                                        
set_io PIO1_04 80 # Pin 3                                                        
set_io PIO1_05 81 # Pin 4                                                        
set_io PIO1_06 87 # Pin 7                                                        
set_io PIO1_07 88 # Pin 8                                                        
set_io PIO1_08 90 # Pin 9                                                        
set_io PIO1_09 91 # Pin 10     
回复  

使用道具 举报

发表于 21-4-2018 12:37:07 | 显示全部楼层
DDD888 发表于 21-4-2018 11:54
是的,但小米路由器除外

小米。。。
从来不在选项之内。。。
任他雷布斯怎么忽悠,不买就是不买
回复  

使用道具 举报

发表于 21-4-2018 19:12:10 | 显示全部楼层
这是八位的D/A

module top (
        input  clk,
        output LED1,
        output LED2,

        output PIO1_02,
        output PIO1_03,
        output PIO1_04,
        output PIO1_05,
        output PIO1_06,
        output PIO1_07,
        output PIO1_08,
        output PIO1_09
);
            reg data1 = 1'b1;
        reg [32:0] counter;
        reg [7:0] index;
        reg [7:0] result;
        reg state;

        assign LED1 = state;
        assign LED2 = data1;

        assign PIO1_02 = result[0];
        assign PIO1_03 = result[1];
        assign PIO1_04 = result[2];
        assign PIO1_05 = result[3];
        assign PIO1_06 = result[4];
        assign PIO1_07 = result[5];
        assign PIO1_08 = result[6];
        assign PIO1_09 = result[7];

        // 47.2khz
        assign index[7:0] = counter[7:0];
        // 5.87khz
        //assign index[7:0] = counter[10:3];
       
        // 23hz
        //assign index[7:0] = counter[18:11];
       
        assign state = counter[20];       
       
        always @(posedge clk) begin
                counter <= counter + 1;

                case(index)
                 0: result <= 128;
                 1: result <= 131;
                 2: result <= 134;
                 3: result <= 137;
                 4: result <= 141;
                 5: result <= 144;
                 6: result <= 147;
                 7: result <= 150;
                 8: result <= 153;
                 9: result <= 156;
                 10: result <= 159;
                 11: result <= 162;
                 12: result <= 165;
                 13: result <= 168;
                 14: result <= 171;
                 15: result <= 174;
                 16: result <= 177;
                 17: result <= 180;
                 18: result <= 183;
                 19: result <= 186;
                 20: result <= 188;
                 21: result <= 191;
                 22: result <= 194;
                 23: result <= 196;
                 24: result <= 199;
                 25: result <= 202;
                 26: result <= 204;
                 27: result <= 207;
                 28: result <= 209;
                 29: result <= 212;
                 30: result <= 214;
                 31: result <= 216;
                 32: result <= 219;
                 33: result <= 221;
                 34: result <= 223;
                 35: result <= 225;
                 36: result <= 227;
                 37: result <= 229;
                 38: result <= 231;
                 39: result <= 233;
                 40: result <= 234;
                 41: result <= 236;
                 42: result <= 238;
                 43: result <= 239;
                 44: result <= 241;
                 45: result <= 242;
                 46: result <= 244;
                 47: result <= 245;
                 48: result <= 246;
                 49: result <= 247;
                 50: result <= 249;
                 51: result <= 250;
                 52: result <= 250;
                 53: result <= 251;
                 54: result <= 252;
                 55: result <= 253;
                 56: result <= 254;
                 57: result <= 254;
                 58: result <= 255;
                 59: result <= 255;
                 60: result <= 255;
                 61: result <= 255;
                 62: result <= 255;
                 63: result <= 255;
                 64: result <= 255;
                 65: result <= 255;
                 66: result <= 255;
                 67: result <= 255;
                 68: result <= 255;
                 69: result <= 255;
                 70: result <= 255;
                 71: result <= 254;
                 72: result <= 254;
                 73: result <= 253;
                 74: result <= 252;
                 75: result <= 251;
                 76: result <= 250;
                 77: result <= 250;
                 78: result <= 249;
                 79: result <= 247;
                 80: result <= 246;
                 81: result <= 245;
                 82: result <= 244;
                 83: result <= 242;
                 84: result <= 241;
                 85: result <= 239;
                 86: result <= 238;
                 87: result <= 236;
                 88: result <= 234;
                 89: result <= 233;
                 90: result <= 231;
                 91: result <= 229;
                 92: result <= 227;
                 93: result <= 225;
                 94: result <= 223;
                 95: result <= 221;
                 96: result <= 219;
                 97: result <= 216;
                 98: result <= 214;
                 99: result <= 212;
                 100: result <= 209;
                 101: result <= 207;
                 102: result <= 204;
                 103: result <= 202;
                 104: result <= 199;
                 105: result <= 196;
                 106: result <= 194;
                 107: result <= 191;
                 108: result <= 188;
                 109: result <= 186;
                 110: result <= 183;
                 111: result <= 180;
                 112: result <= 177;
                 113: result <= 174;
                 114: result <= 171;
                 115: result <= 168;
                 116: result <= 165;
                 117: result <= 162;
                 118: result <= 159;
                 119: result <= 156;
                 120: result <= 153;
                 121: result <= 150;
                 122: result <= 147;
                 123: result <= 144;
                 124: result <= 141;
                 125: result <= 137;
                 126: result <= 134;
                 127: result <= 131;
                 128: result <= 128;
                 129: result <= 125;
                 130: result <= 122;
                 131: result <= 119;
                 132: result <= 115;
                 133: result <= 112;
                 134: result <= 109;
                 135: result <= 106;
                 136: result <= 103;
                 137: result <= 100;
                 138: result <= 97;
                 139: result <= 94;
                 140: result <= 91;
                 141: result <= 88;
                 142: result <= 85;
                 143: result <= 82;
                 144: result <= 79;
                 145: result <= 76;
                 146: result <= 73;
                 147: result <= 70;
                 148: result <= 68;
                 149: result <= 65;
                 150: result <= 62;
                 151: result <= 60;
                 152: result <= 57;
                 153: result <= 54;
                 154: result <= 52;
                 155: result <= 49;
                 156: result <= 47;
                 157: result <= 44;
                 158: result <= 42;
                 159: result <= 40;
                 160: result <= 37;
                 161: result <= 35;
                 162: result <= 33;
                 163: result <= 31;
                 164: result <= 29;
                 165: result <= 27;
                 166: result <= 25;
                 167: result <= 23;
                 168: result <= 22;
                 169: result <= 20;
                 170: result <= 18;
                 171: result <= 17;
                 172: result <= 15;
                 173: result <= 14;
                 174: result <= 12;
                 175: result <= 11;
                 176: result <= 10;
                 177: result <= 9;
                 178: result <= 7;
                 179: result <= 6;
                 180: result <= 6;
                 181: result <= 5;
                 182: result <= 4;
                 183: result <= 3;
                 184: result <= 2;
                 185: result <= 2;
                 186: result <= 1;
                 187: result <= 1;
                 188: result <= 1;
                 189: result <= 0;
                 190: result <= 0;
                 191: result <= 0;
                 192: result <= 0;
                 193: result <= 0;
                 194: result <= 0;
                 195: result <= 0;
                 196: result <= 1;
                 197: result <= 1;
                 198: result <= 1;
                 199: result <= 2;
                 200: result <= 2;
                 201: result <= 3;
                 202: result <= 4;
                 203: result <= 5;
                 204: result <= 6;
                 205: result <= 6;
                 206: result <= 7;
                 207: result <= 9;
                 208: result <= 10;
                 209: result <= 11;
                 210: result <= 12;
                 211: result <= 14;
                 212: result <= 15;
                 213: result <= 17;
                 214: result <= 18;
                 215: result <= 20;
                 216: result <= 22;
                 217: result <= 23;
                 218: result <= 25;
                 219: result <= 27;
                 220: result <= 29;
                 221: result <= 31;
                 222: result <= 33;
                 223: result <= 35;
                 224: result <= 37;
                 225: result <= 40;
                 226: result <= 42;
                 227: result <= 44;
                 228: result <= 47;
                 229: result <= 49;
                 230: result <= 52;
                 231: result <= 54;
                 232: result <= 57;
                 233: result <= 60;
                 234: result <= 62;
                 235: result <= 65;
                 236: result <= 68;
                 237: result <= 70;
                 238: result <= 73;
                 239: result <= 76;
                 240: result <= 79;
                 241: result <= 82;
                 242: result <= 85;
                 243: result <= 88;
                 244: result <= 91;
                 245: result <= 94;
                 246: result <= 97;
                 247: result <= 100;
                 248: result <= 103;
                 249: result <= 106;
                 250: result <= 109;
                 251: result <= 112;
                 252: result <= 115;
                 253: result <= 119;
                 254: result <= 122;
                 255: result <= 125;
                endcase
        end
endmodule
回复  

使用道具 举报

发表于 21-4-2018 19:26:11 | 显示全部楼层
1.JPG 2.JPG 3.JPG

感觉用面包板太麻烦了,干脆就将电阻固定下来
回复  

使用道具 举报

发表于 22-4-2018 10:01:37 | 显示全部楼层
我将我新买的主板换到坏的lg电视机上,但开出来的图像是旋转180度,请教大家,这如何解决啊?谢谢
回复  

使用道具 举报

发表于 22-4-2018 17:51:56 | 显示全部楼层
DDD888 发表于 22-4-2018 10:01
我将我新买的主板换到坏的lg电视机上,但开出来的图像是旋转180度,请教大家,这如何解决啊?谢谢:loveline ...

我根据该录像解决了屏幕翻转问题

https://www.youtube.com/watch?v=reUEi6laRnA

https://www.askvg.com/secret-service-codes-for-sony-and-lg-tv/

评分

参与人数 1威望 +50 收起 理由
QWERTYASDFG + 50 你太有才了!

查看全部评分

回复  

使用道具 举报

您需要登录后才可以回帖 登录 | FreeOZ用户注册

本版积分规则

小黑屋|手机版|Archiver|FreeOZ论坛

GMT+10, 17-4-2024 03:21 , Processed in 0.040483 second(s), 48 queries , Gzip On, Redis On.

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表